;; file t1.scm: (module t1 () (import scheme (chicken base)) (define _ 123)) ;;file t2.scm: (module t2 () (import scheme (chicken base) srfi-71) (include "t1.scm") (import t1) (let* ((foo bar (values 1 2))) (print (+ foo bar)))) ;; compiles when not including t1...